Përmbajtje:

Dizajni i UART në VHDL: 5 hapa
Dizajni i UART në VHDL: 5 hapa

Video: Dizajni i UART në VHDL: 5 hapa

Video: Dizajni i UART në VHDL: 5 hapa
Video: Arducopter на гоночном квадрокоптере. Это вам не INAV! Часть первая. Базовая настройка 2024, Korrik
Anonim
Dizajni i UART në VHDL
Dizajni i UART në VHDL

UART qëndron për Transmetuesin e Marrësit Universal Asinkron. Protocolshtë protokolli më i popullarizuar dhe më i thjeshtë i komunikimit serik. Në këtë udhëzues, do të mësoni se si të krijoni një modul UART në VHDL.

Hapi 1: Çfarë është UART?

Për të komunikuar me pajisje të ndryshme periferike, përpunuesit ose kontrolluesit zakonisht përdorin komunikimin UART. Shtë një komunikim serial i thjeshtë dhe i shpejtë. Meqenëse UART është një kërkesë minimale në pothuajse të gjithë procesorët, ato zakonisht janë të dizajnuara si bërthama IP të buta në VHDL ose Verilog për ripërdorim dhe lehtësi integrimi.

Hapi 2: Specifikimet

Specifikimet e UART të projektuar janë dhënë më poshtë:

* Sinjalet standarde UART.

* Shkalla e konfigurimit të baudit nga 600-115200.

* Marrja e mostrave = 8x @receiver

* Dizajn i provuar nga FPGA - në tabelën Xilinx Artix 7.

* Testuar në pajisjet periferike UART, Hyperterminal me sukses - të gjitha baudratet

Hapi 3: Qasja e Dizajnit

  1. Ne do të hartojmë 3 module, të cilat do t'i integrojmë më vonë për të përfunduar UART.

    • Moduli i Transmetuesit: Kujdeset për transmetimet e të dhënave serike
    • Moduli i Marrësit: Kujdeset për marrjen e të dhënave serike
    • Moduli i gjeneratorit Baud: Kujdeset për gjenerimin e orës baud.
  2. Moduli i gjeneratorit Baud është i konfigurueshëm në mënyrë dinamike. Ajo gjeneron dy orë baud nga ora kryesore, sipas shpejtësisë së dëshiruar. Njëra për transmetuesin, tjetra për marrësin.
  3. Moduli i marrësit përdor një normë të marrjes së mostrave prej 8x për të minimizuar probabilitetin e gabimit në pritje, dmth., Ora baud e marrësit është 8x orë baud transmetuese.
  4. Sinjalet e kontrollit për të kontrolluar transmetimin dhe marrjen, si dhe sinjalin e ndërprerjes.
  5. Ndërfaqe serike standarde UART pa bit pariteti, bit me një ndalesë dhe fillim, 8 bit të dhënash.
  6. Një ndërfaqe paralele për të komunikuar me hostin dmth., Një përpunues ose kontrollues, i cili ushqen dhe merr të dhëna paralele nga dhe nga UART.

Hapi 4: Rezultatet e simulimit

Rezultatet e simulimit
Rezultatet e simulimit

Hapi 5: Skedarët e bashkangjitur

* Moduli i transmetuesit UART -skedar vhd

* Moduli i marrësit UART - skedar vhd

* Moduli i gjeneratorit Baud - skedar vhd

* Moduli UART - Moduli kryesor kryesor që integron modulet e mësipërm - skedari vhd

* Dokumentacioni i plotë i UART IP Core - pdf

Për çdo pyetje, mos ngurroni të më kontaktoni:

Mitu Raj

më ndiqni:

Për pyetje, kontaktoni: [email protected]

Recommended: